News

Samsung Electronics unveiled its Back Side Power Delivery Network (BSPDN) research results

Published

on


Advertisement

At the Japan-based VLSI Symposium on August 11, Samsung Electronics presented the metrics of the new BSPDN (backside power delivery network) approach. The firm had never disclosed its findings publicly before. The presentation reveals that in comparison to the conventional frontside PDN, the use of a backside power delivery network led to a 14.8% reduction in the size of an unidentified CPU. In the highlighted part of the report, two Arm circuits in particular saw area reductions of 10.6% and 19%.

A 10% to 19% die area decrease is considered the biggest benefit, as it paves the way to either pack 10% to 19% more transistors and improve performance, or the cost of a particular device may be reduced too. A 9.2% decrease in cable length was also included in the report. While discussing future process technology, the backside power delivery network (BSPDN) is a crucial subject. Before Samsung disclosed specifics regarding its own tests, Intel and TSMC shared information about BSPDN benefits.

BSPDN, known as “Powervia” by Intel in June, revealed that the business will use BSPDN for the 2nm process and that it will enable continuous transmission while resolving bottleneck concerns in the connection encountered in silicon systems. Power cables can be made thicker, lowering resistance and boosting performance while consuming less energy, by moving power rails to the back and separating them from I/O wiring.

Samsung and LG step up their efforts to enter the rapidly expanding EV industry

The FSPDN is now employed in semiconductors. The disadvantages include the power and signal lines employing the same resources, which in turn stirs up issues like bottlenecks arising even when they are organized in the order of power line, signal line, and transistor. Samsung Electronics intends to use BSPDN in its semiconductor industry to overcome issues such as costs and connectivity constraints. The firm discussed how BSPDN can be used in the process of boosting the utilization rate of cells on the top of the wafer.

Thanks to “Thelec

Advertisement

Exit mobile version